ハードウェア

IntelがQualcommと提携し新チップを製造すると発表、2025年までのロードマップも公開


Intelが2021年7月26日に、今後の製品開発のロードマップを発表しました。その中で同社は、新たな命名規則によって名付けられた「Intel 20A」のプロセスノードで、モバイル向けSoCに強みを持つファブレス半導体企業のQualcomm向けにチップを製造することを明かしました。

Intel Accelerates Process and Packaging Innovations
https://www.intel.com/content/www/us/en/newsroom/news/intel-accelerates-process-packaging-innovations.html

Intel Promises ‘Performance Leadership’ By 2025 With New Roadmap
https://www.crn.com/news/components-peripherals/intel-promises-performance-leadership-by-2025-with-new-roadmap

Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!
https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros

Intelのパット・ゲルシンガーCEOは7月26日に、同社の新戦略である「IDM 2.0」を具体化するロードマップを発表し、一部分野で競合他社に遅れをとることになったIntelの「プロセス・パフォーマンスのリーダーシップ」を取り戻すと宣言しました。

ゲルシンガーCEOが発表したロードマップが以下。Intelはこれまで、「Intel ○○nm」という命名規則でチップを製造してきましたが、赤枠で示された新計画により、今後は「Intel 7」「Intel 4」「Intel 3」「Intel 20A」として展開されることになります。


2020年に発表された10nm SuperFin以降で最初の新プロセスノードは「Intel 7」で、これは以前「10nm Enhanced SuperFin」ないし「10ESF」と呼ばれていたノードのリネームです。Intel 7では、10nm SuperFin以前のモデルからトランジスターを最適化することで、消費電力当たりのパフォーマンスが10~15%改善される予定とのこと。


2番目のプロセスノードである「Intel 4」は、従来まで「Intel 7nm」と呼ばれていた世代で、2022年後半に生産を開始し2023年に出荷が始まる予定。極端紫外線(EUV)リソグラフィによる超短波長光を使用した非常に精細な印刷技術により、消費電力当たりのパフォーマンスがIntel 7から20%向上すると見込まれています。


3番目のプロセスノードは「Intel 3」で、2023年後半に生産が開始されるプロセッサーに搭載される予定です。Intel 3では、トランジスターのさらなる最適化とEUVリソグラフィの使用拡大により、消費電力当たりのパフォーマンスがIntel 4から18%改善されるとのこと。


そして、ゲルシンガーCEOが「オングストローム時代」と呼ぶ2024年からの新しい取り組みでは、Intelが「業界初のバックサイド・パワー・デリバリー」と呼ぶ半導体への新しい配電方式であるPowerViaと、新アーキテクチャのRibbonFETを実装した「Intel 20A」がリリースされます。Intel 20Aは、新しいロードマップにおける主要なマイルストーンの1つであるGate-All-Around(GAA)を最初に搭載するチップになるとのこと。


また、Intel 20AのプロセスノードではQualcommとも提携し、同社から半導体生産を受注することが決まっています。Qualcommのクリスティアーノ・アモンCEOはIntel 20Aについて「我々は、Intel 20Aに搭載されている画期的なRibbonFETとPowerVia技術に期待を寄せています。また、Intelの半導体製造受託事業であるIntel Foundry Services(IFS)が、アメリカのファブレス産業が自社製品を生産現場に投入するのを支援する最先端のファウンドリパートナーの1つとなることをうれしく思います」とコメントしました。


2025年以降は、Intel 20AのRibbonFETをさらに改良した「Intel 18A」がローンチされる計画です。ゲルシンガーCEOは今回発表したロードマップについて、「先進的な技術の組み合わせによるIntelの揺るぎないリーダーシップを元に、我々はイノベーション・ロードマップを加速させ、2025年までにプロセス・パフォーマンスのリーダーシップを確立させる足がかりとします。我々は、比類なきイノベーションのパイプラインを活用して、半導体レベルからシステムレベルに至るまでテクノロジーを進歩させ、元素周期表が終わるまでムーアの法則を追求し、シリコンの魔法でイノベーションを起こす道をまい進していきます」と述べました。

この記事のタイトルとURLをコピーする

・関連記事
IntelがCPUの製造をTSMCに外部委託すると市場調査会社が予測、2021年にエントリーCPU、2022年にミドルレンジ&ハイエンドCPUの製造が開始か - GIGAZINE

Intelが2兆円超を投じてファウンドリサービスの拡充を目指す「IDM 2.0」を発表、「Apple Siliconの製造を目論んでいる」との指摘も - GIGAZINE

Intelのファウンドリサービス拡充戦略「IDM2.0」はIntel復活の糸口となるのか? - GIGAZINE

Intelの次世代モバイルプロセッサ「Tiger Lake」やXeグラフィックスなどオンラインイベント「Architecture Day 2020」での発表まとめ - GIGAZINE

Intelが第11世代Coreプロセッサと共に新しいロゴを発表 - GIGAZINE

in ハードウェア, Posted by log1l_ks

You can read the machine translated English article here.