Intel announces that development of '18A' chips is progressing smoothly, with the first third-party products expected to be taped out in the first half of 2025



Intel, which has been struggling with 13th and 14th generation CPU

crash issues and a sharp drop in its stock price, announced the development status of its flagship products for the Intel 18A process node, 'Panther Lake' and 'Clearwater Forest,' on August 6, 2024, and reported that both chips shipped from the fab had been successfully powered on and the OS booted.

Intel Foundry Achieves Major Milestones
https://www.intel.com/content/www/us/en/newsroom/news/intel-foundry-achieves-major-milestones.html#gs.d9mqkf

Intel 18A Status Update: First Chips Booting, First External Customer Tape-Out in H1'25
https://www.anandtech.com/show/21504/intel-18a-status-update-first-chips-booting-first-external-customer-tapeout-in-h125

Intel 18A Panther Lake and Clearwater Forest CPUs are booting — steady progress toward the next-gen lithography node | Tom's Hardware
https://www.tomshardware.com/pc-components/cpus/intel-18a-panther-lake-and-clearwater-forest-cpus-are-booting

In a press release on August 6, Intel said, 'We are pleased to announce that Intel 18A's flagship products, Panther Lake (processor for AI PCs) and Clearwater Forest (processor for servers), have been shipped from the fab, powered on, and the operating system has started. These milestones were achieved within two quarters of tape-out (completion of the final design process), and both products are scheduled to begin production in 2025,' revealing that development of the next-generation 1.8nm-class process node is progressing smoothly.

Intel's 18A process is not just a process refinement, but also incorporates cutting-edge technologies 'RibbonFET' and 'PowerVia,' making it a particularly important generation for Intel as it tries to make a comeback in the field of advanced chips.

What are the 'two cutting-edge technologies' that Intel is betting on to regain its leading position in 2024? - GIGAZINE



Regarding the announcement that development of the next-generation process flagship chip incorporating the latest technology is progressing without delay, IT news site AnandTech said, 'This is not only a promising sign that Intel's ambitions in silicon lithography technology are beginning to bear fruit, but also that its intention to lead in advanced packaging is on track.'

In addition, Kevin O'Buckley, Intel's senior vice president and general manager of foundry services, said in a separate interview that 'the first two products using Intel 18A are both successfully booting the operating system, are in use internally, and have good yields and performance.' It is unusual for Intel to comment on the yield of a new product at this stage.



According to Intel, tape-outs for the first external customers are expected to begin in the first half of 2025. Although it has not been specified which companies these external customers are, Ansys, Cadence, Synopsys, Siemens EDA, and others with whom Intel has long had partnerships in the provision of EDA tools, are listed as ecosystem partners, and Cadence and Synopsys have commented in the press release.

Intel will release the 18A Process Design Kit (PDK) 1.0 in July 2024, which will enable related companies to complete their 18A designs and prepare for product development and production.

'We are pioneering multiple system foundry technologies for the AI era, delivering the full stack of innovations that Intel and our foundry customers need to develop next-generation products,' said O'Buckley. 'We are encouraged by this progress and are working closely with our customers to bring Intel 18A to market in 2025.'

in Hardware, Posted by log1l_ks